Schritt 7: LED Decoder Modul
Den LED-Dekoder nimmt Eingangssignale von den Schaltern und das LED-Enable-Signal vom down-Counter. Den LED-Dekoder-Funktion ist, die binäre Signale an einen BUS der LED-Signale von den Schaltern zugeordnet zu konvertieren. Der BUS wird dann die entsprechende Menge an LEDs bestimmen, die auf. Unsere Decoder mit Case-Anweisungen implementiert wird und es konvertiert nicht tatsächlich Binäreingängen Dezimal Ausgänge, aber die Funktionalität der Schaltung scheint dazu, als die Menge an LEDs in dezimal Korrelat für die binäre Zahl eingegeben.
entity LED_dec is<br> Port ( LED_en : in STD_LOGIC; sw : in STD_LOGIC_VECTOR (2 downto 0); ppl : out STD_LOGIC_VECTOR (6 downto 0)); end LED_dec;
architecture Behavioral of LED_dec is
begin process (LED_en, sw) begin if (LED_en = '1') then case sw is when "000" => ppl <= "0000001"; when "001" => ppl <= "0000001"; when "010" => ppl <= "0000011"; when "011" => ppl <= "0000111"; when "100" => ppl <= "0001111"; when "101" => ppl <= "0011111"; when "110" => ppl <= "0111111"; when "111" => ppl <= "1111111"; when others => ppl <= "0000000"; end case; end if;
Die LED signalisiert, dass alle 0 geworden, wenn LED Signal 0 ist.
if (LED_en = '0') then ppl <= "0000000"; end if; end process;
end Behavioral;